Solar-2

Sciencetech Inc., Canada

Sciencetech Steady State Solar Simulators

Sciencetech solar simulators are highly configurable and with an impressive range of solar simulator options, our systems have been serving multiple researches and testing applications. Our solar simulator systems comply with standards such as ASTM, IEC and JIS and provides variability is design to accommodate researcher’s requirements. With over 30 years of experience in the solar simulator designing and manufacturing field, our systems provide

- Spectral emission closest to the sun’s spectrum

- High spatial uniformity

- High temporal stability

- Configurable orientations in illumination direction

- Variety of output collimation angles

- Variable illumination areas

- Easy to use, turn-key systems with full software control

- Multiple add ons and accessories such as fiber optic cables, beam turning units, filters and more

Read More

Ultratech a division of Veeco

Atomic Layer Deposition Systems (ALD)

Atomic Layer Deposition Systems

Device node shrinking continues, with 10nm and 7nm node in production, and development taking place down to 3nm. Our atomic layer deposition tools give you ultimate precision and uniformity for coatings at even the finest nodes.

Fiji – Plasma Enhanced ALD for R&D
Advanced Capabilities for Advanced Research

Our Fiji® series is a modular, high-vacuum thermal ALD system that accommodates a wide range of deposition modes using a flexible architecture and multiple configurations of precursors and plasma gases. The Fiji G2 is a next-generation ALD system capable of performing thermal and plasma-enhanced deposition.

We have applied advanced computational fluid dynamics analyses to optimize the Fiji® reactor, heater, and trap geometry. The system’s intuitive interface makes it easy to monitor and change recipes and processes as needed.

Phoenix – Batch Production ALD

Production Capabilities

The Phoenix® system is engineered for high throughput and maximum uptime in any fabrication environment, from pilot production to industrial-grade manufacturing. Technologists and researchers rely on the Phoenix® for repeatable, highly accurate film deposition on flat and 3D substrates alike. And with support for up to six individual precursor lines, the Phoenix® delivers solid, liquid, or gaseous process chemistries depending on your thin film needs. A compact footprint and innovative design makes the Phoenix® the practical choice for those with batch production ALD requirements.

Savannah – Thermal ALD for R&D

Advanced Capabilities for Advanced Research

Veeco is the leading provider of atomic layer deposition (ALD) systems for research and industry worldwide, delivering comprehensive services and versatile, turnkey systems that are accessible, affordable and accurate to the atomic scale. Thin film deposition is our expertise. Our Savannah® Series of thin film deposition tools exemplifies these competencies.

Savannah® has become the preferred system for university researchers worldwide engaged in ALD and looking for an affordable yet robust platform. We have delivered hundreds of these systems in the past decade. Savannah®’s efficient use of precursors and power-saving features substantially reduces the cost of operating a thin film deposition system.

 

NANO-MASTER, Inc.

Thin Film Deposition

  • E-Beam Evaporation

  • PECVD

  • Sputtering

  • Thermal Evaporation

Etching

  • Reactive Ion Etching

  • Deep Reactive Ion Etching

  • Ion Beam Milling

Thin Film Growth

  • PA-MOCVD - Table Top Plasma Assisted Metal Organic Chemical Vapor Deposition (PA-MOCVD)

Wafer Cleaning Systems

Wet Cleaning

  • Single Wafer Cleaners (SWC)

  • Large Substrate Cleaner (LSC)

  • Pelliclized and Unpelliclized Reticle

Dry Cleaning

  • Plasma Ashing Systems

Dual Chamber Systems

Space Simulation Systems 

Copper Welding of Solar Thermal Heaters


For more information please contact us



Laser Scribbing of Thin Films


For more information please contact us